mcp41010MCP41010概述

如题所述

MCP41010是一种8引脚双列直插封装的器件,其引脚配置如图1所示,包括PW0电位器滑动端,PA0和PB0电位器终端,以及SPI总线的SCK时钟线和SI数据线。工作电压范围为2.7至5.5伏特。上电复位时,数据寄存器会自动设为80H,滑动端PW0将定位在PA0和PB0的中间位置。


内部结构方面,如图2所示,MCP41010集成有SPI总线接口和一个POT(电位器),内部包含一个8位滑刷控制数据寄存器。该器件采用SPI总线接口,采用简单的2字节指令结构,控制方式灵活,既可以通过SPI总线通信,也能通过软件模拟时序控制。


指令格式简单,由两部分组成,每部分一个字节。命令字节的第2、3位和6、7位是无效位,C1、C0是指令选择位,P1、P0是电位器选择位,由于只有一个电位器,通常设置为01。写数据指令对应C1、C0为01,空操作或关闭模式用C1、C0为00或11。写命令字节通常是0x11,数据字段为8位,可以精确控制滑动端位置。


指令序列传输遵循先写命令字节再写数据字节的顺序。CS(片选)为低电平时,命令字和数据字才能写入16位移位寄存器。在SCK上升沿时,数据进入数据寄存器,改变电位器阻值。只有当时钟脉冲数为16的倍数时,命令才会执行,否则无效。完整的写时序包括起始位(SCK低电平,上升沿标记开始)、MCP41010命令字段、8位数据字段传输,以及停止位(SCK和CS同时为低电平,结束SPI传输)。




扩展资料

MCP41010是Microchip公司生产的一种集成数字电位器。它在单一芯片上集成一个10 kΩ数字电位器,电位器的滑动端共有256个离散的调节节点,并有一个8 b的E2PROM数据寄存器,直接控制滑刷在电位器上滑动端的位置。用户可以通过相应指令往数据寄存器写8位字,调节精度可达256。MCP41010芯片具有工业标准的SPI同步串口,可以实现寄存器操作,从而改变滑刷的位置。

温馨提示:答案为网友推荐,仅供参考